PDF4PRO ⚡AMP

Modern search engine that looking for books and documents around the web

Example: dental hygienist

Using PLI 2.0 (VPI) with VCS (Yes, it really works!)

Back to document page

Using PLI (VPI) with VCS. (Yes, it really works !). Stuart Sutherland Sutherland HDL, Inc., Portland, Oregon ABSTRACT. The verilog PLI VPI library, often referred to as PLI , is the latest generation of the verilog PLI standard. The VPI library has a number of advantages over the older TF and ACC libraries (often collectively referred to as PLI ). The VPI library has been part of the IEEE 1364 Ver- ilog standard since 1995, but the VCS simulator has only just begun to support the VPI library with version , which is projected to be released early in 2002. This paper presents why it is desirable to use the VPI library, and how well the library is supported in VCS. The goal of the paper is to answer the question: Are there compelling reasons to use PLI in your future PLI. applications? . What are PLI and PLI . The PLI has been an integral part of the verilog language since 1985, and has been a major con- tributor to the success of verilog .

Using PLI 2.0 (VPI) with VCS (Yes, it really works!) Stuart Sutherland Sutherland HDL, Inc., Portland, Oregon stuart@sutherland-hdl.com ABSTRACT The Verilog PLI VPI library, often referred to as “PLI 2.0”, is the latest generation of the Verilog

  With, Work, Really, Verilog, With vcs, It really works

Download Using PLI 2.0 (VPI) with VCS (Yes, it really works!)


Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Spam in document Broken preview Other abuse

Related search queries