Example: confidence

Designing Digital Circuits a modern approach

Designing Digital Circuitsa modern approachJonathan Turner2 ContentsI First Half51 Introduction to Designing Digital Getting Started .. Gates and Flip Flops .. How are Digital Circuits Designed? .. Programmable Processors .. Prototyping Digital Circuits ..152 First A Simple Binary Calculator .. Representing Numbers in Digital Circuits .. Logic Equations and Circuits ..243 Designing Combinational Circuits With The entity and architecture .. Signal Assignments .. Processes and if-then-else ..434 Computer-Aided Overview of CAD design Flow .. Starting a New Project .. Simulating a Circuit Module .. Preparing to Test on a Prototype Board .. Simulating the Prototype Circuit.

develop your own digital design skills. As you move on to the later chapters, you will learn how to implement a programmable processor and how it can be programmed using a simple assembly language. You wil also learn about the factors that limit processor performance, and how processor

Tags:

  Processor, Design, Modern

Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Other abuse

Transcription of Designing Digital Circuits a modern approach

1 Designing Digital Circuitsa modern approachJonathan Turner2 ContentsI First Half51 Introduction to Designing Digital Getting Started .. Gates and Flip Flops .. How are Digital Circuits Designed? .. Programmable Processors .. Prototyping Digital Circuits ..152 First A Simple Binary Calculator .. Representing Numbers in Digital Circuits .. Logic Equations and Circuits ..243 Designing Combinational Circuits With The entity and architecture .. Signal Assignments .. Processes and if-then-else ..434 Computer-Aided Overview of CAD design Flow .. Starting a New Project .. Simulating a Circuit Module .. Preparing to Test on a Prototype Board .. Simulating the Prototype Circuit.

2 Testing the Prototype Circuit ..705 More VHDL Language Symbolic constants .. For and case statements .. Synchronous and Asynchronous Assignments .. Structural VHDL ..896 Building Blocks of Digital Logic Gates as Electronic Components .. Storage Elements .. Larger Building Blocks .. Lookup Tables and FPGAs .. 1057 Sequential A Fair Arbiter Circuit .. Garage Door Opener .. 1188 State Machines with Pulse Counter .. Debouncer .. Knob Interface .. Two Speed Garage Door Opener .. 141II Second Half1479 Still More Making Circuit Specifications More Generic .. Arrays and Records .. Using Assertions to Detect Bugs .. VHDL Variables.

3 Functions and Procedures .. 159 CONTENTS510 design Four-way Max Finder .. Binary Input Module .. LCD Display Module .. Binary Output Module .. 17511 Verifying Circuit Assertion Checking in Circuit Specifications .. Testing Combinational Circuits .. Testing State Machines .. Testing Larger Circuits .. 19512 Continuing design Simple Data Queue .. Packet FIFO .. Priority Queue .. 21213 Small Scale Circuit Algebraic Methods .. Algorithmic Methods .. 22414 Still More design VGA Display Circuit .. Mine Sweeper Game .. 24515 Implementing Digital Circuit Gates and Transistors .. Delays in Circuits .. Latches and Flip Flops .. 27516 Timing Issues in Digital Flip Flop Timing Parameters.

4 Metastability and Synchronizers .. 2906 CONTENTSIII Third Half29517 Introduction to Programmable Overview of the WASHU-2 processor .. Machine Language Programming .. Prototyping thewashu-2.. Using Subprograms .. 31218 Implementing a Programmable Overview of the Implementation .. Signal Timing .. VHDL Implementation .. 32619 Supporting Overview of the Complete System .. Implementing the Console .. 34120 Memory SRAM Organization and Operation .. Alternate Memory Organizations .. Dynamic RAMs .. 36221 Improving processor A Brief Look Back at processor design .. Alternate Instruction Set Architectures .. Implementing theWASHU-16 .. 37222 Improving processor Performance Even Cache Basics.

5 A Cache for theWASHU-2 .. Beyond Direct-Mapped Caches .. Other Ways to Boost Performance .. 397 CONTENTS723 Making Circuits Faster Increment Circuits .. Faster Adder Circuits .. Other Linear Circuits .. Multiplication Circuits .. 40824 Producing Better Circuits Using Some Motivating Examples .. Estimating Resource Usage .. Estimating and Reducing Resource Usage .. 4218 CONTENTSPart IFirst Half9 Chapter 1 Introduction to DesigningDigital Getting StartedThis book is all about the design of Digital Circuits . So what exactly are digi-tal Circuits and why should we care about them? Let s start with the secondpart of that question. Simply put, Digital Circuits have become a ubiqui-tous and indispensable part of modern life.

6 They are in our computers, ourcell phones, our cars, our televisions, our wrist watches. Almost everywhereyou look, you can find Digital Circuits , and new applications are being de-veloped all the time. Surprisingly, this is a fairly recent phenomenon. In1960, Digital Circuits were just beginning to find commercial application andvery few people would ever encounter one in their daily lives. By the mid1970s, hand-held calculators were starting to become popular with scientists,engineers and students, and by the mid 1980s personal computers started toget widespread use. Since then, the growth in the use of Digital Circuits hasbeen explosive, and today it s hard to imagine living without how is it that Digital Circuits have become such a big deal in sucha short time?

7 There are two key inventions that have driven the digitalrevolution. The first was the invention of the transistor in the late 1940s,and the second was the invention of the integrated circuit in the late Digital Circuits Jonathan TurnerNow, transistors are the essential building block used to construct digitalcircuits, and integrated circuit technology is a manufacturing process thatallows many transistors to be fabricated at once and wired together to createcomplex Circuits . While early integrated Circuits contained just a handful oftransistors, advances in the fabrication processes now allow us to producecircuits with billions of transistors on a silicon chip the size of a there is another big reason that Digital Circuits have become sosuccessful, and that brings us to that word Digital .

8 The defining propertyof a Digital circuit is that it uses voltages and currents to represent logicalvalues, commonly denoted as 0 and 1 . Now what s important about this isthat because Digital Circuits represent logical values, it s possible to combinethe basic building blocks of a Digital circuit using just the rules of logic,and the rules of logic are a whole lot simpler than the laws of physics thatultimately determine how Circuits behave. This gives Digital Circuits a kindofmodularitythat more general analog Circuits lack. It is that modularitythat allows us to create Circuits of mind-boggling complexity that do whatwe expect them to do, reliably and consistently. Now this is not to say thatwe can escape the laws of physics entirely.

9 Physical properties do place someconstraints on how Digital circuit components can be combined and the speedwith which they operate. Nonetheless, when Designing Digital Circuits we canlargely ignore the underlying physics and focus most of our attention on howto combine components in a way that produces a desired logical is still another big reason that Digital Circuits have become so suc-cessful and that is theprogrammable processor , arguably the most importantdigital circuit of all. What makes it so important is its remarkable flexibil-ity, and the key to that flexibility isprogrammability. While a processor isjust a single circuit, it can be programmed to implement a remakable diver-sity of functions. This programmability means that one device can do manydifferent things.

10 So the same processor can be used in a wrist watch or acalculator, and at different times it can do different things, as the plethoraof cell phone apps amply demonstrates. Perhaps the most amazing thingabout the progammable processor is that it can be a relatively simple cir-cuit. Programmability does not require a lot of complexity and while modernprocessors are pretty complex, we ll see that most of that complexity is there1. Introduction13for the sole purpose of improving performance. The essential feature of pro-grammability does not require you progress through the book, you will learn about the buildingblocks of Digital Circuits and how they can be put together to build complexsystems. You will learn about how Circuits can be constructed efficientlyusing the rules of logic, and how modernhardware description languagescanbe used to simplify the specification of larger Circuits .


Related search queries