Example: tourism industry

Intel Agilex FPGA Advanced Information Brief

Intel Agilex FPGAs and SoCsDevice OverviewOnline VersionSend FeedbackAG-OVERVIEWID: 683458 Version: Intel Agilex FPGA and SoC Device Intel Agilex FPGA and SoC Family Intel Agilex F-Series SoC Intel Agilex I-Series SoC Intel Agilex M-Series SoC Common Available Intel Agilex FPGA and SoC Summary of Intel Agilex FPGA and SoC Block Intel Agilex FPGA and SoC Family Intel Agilex F-Series SoC Intel Agilex I-Series SoC Intel Agilex M-Series SoC Intel Hyperflex Core Heterogeneous 3D SiP Transceiver Intel Agilex FPGA E-Tile P-Tile F-Tile R-Tile External Memory and General Purpose Adaptive Logic Module (ALM).. Core Clock I/O Internal Embedded Heterogeneous 3D Stacked HBM2e DRAM Variable Precision High-Performance Crypto Hard Processor System (HPS).

1. Intel ® Agilex ™ FPGA Device Overview. Intel’s 10-nm Intel ® Agilex ™ FPGAs and SoCs are built using an innovative chiplet architecture, which provides agile and flexible integration of …

Tags:

  Innovative

Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Other abuse

Transcription of Intel Agilex FPGA Advanced Information Brief

1 Intel Agilex FPGAs and SoCsDevice OverviewOnline VersionSend FeedbackAG-OVERVIEWID: 683458 Version: Intel Agilex FPGA and SoC Device Intel Agilex FPGA and SoC Family Intel Agilex F-Series SoC Intel Agilex I-Series SoC Intel Agilex M-Series SoC Common Available Intel Agilex FPGA and SoC Summary of Intel Agilex FPGA and SoC Block Intel Agilex FPGA and SoC Family Intel Agilex F-Series SoC Intel Agilex I-Series SoC Intel Agilex M-Series SoC Intel Hyperflex Core Heterogeneous 3D SiP Transceiver Intel Agilex FPGA E-Tile P-Tile F-Tile R-Tile External Memory and General Purpose Adaptive Logic Module (ALM).. Core Clock I/O Internal Embedded Heterogeneous 3D Stacked HBM2e DRAM Variable Precision High-Performance Crypto Hard Processor System (HPS).

2 Power Device Configuration and Secure Device Manager (SDM).. Device CvP Using PCI Partial and Dynamic Configuration .. Single Event Upset (SEU) Error Detection and Additional Revision History for the Intel Agilex FPGAs and SoCs Device Overview ..42 ContentsIntel Agilex FPGAs and SoCs Device OverviewSend Feedback21. Intel Agilex FPGA and SoC Device OverviewIntel Agilex FPGAs and SoCs are built using an innovative chiplet architecture,which provides agile and flexible integration of heterogeneous technology elements ina System-in-Package (SiP). The chiplet architecture enables Intel to address a broadarray of acceleration and high-bandwidth applications with tailored and flexiblesolutions. Leveraging Advanced 3D packaging technology such as Intel EmbeddedMulti-Die Interconnect Bridge (EMIB), the chiplet approach allows the combination oftraditional FPGA die with purpose-built semiconductor die to create devices that areuniquely optimized for target Intel Agilex F- and I-Series (10-nm SuperFin technology), and Intel AgilexM-Series ( Intel 7 technology) FPGAs and SoCs deliver on average 50% higher coreperformance or up to 40% lower power over previous generation high-performanceFPGAs.

3 These Intel Agilex FPGAs and SoCs accelerate system engineers delivery oftoday s and tomorrow s most Advanced high-bandwidth applications throughgroundbreaking features: Advanced analog functions such as 116 Gbps PAM4 transceivers High-bandwidth processor interface interconnect including PCIe* Gen5 andindustry's first Compute Express Link (CXL) in an FPGA Up to 6 x 400GE or 12 x 200GE network interface connectivity in one device Fourth generation scalable integrated memory controllers including support forDDR5, and Intel Optane persistent memory technology Industry leading DSP support with up to 40 TFLOPs High-performance hard crypto blocks supporting both AES and SM4 encryptionstandards Quad-core Arm* Cortex*-A53 Hard Processor System In-package HBM2e memory options Second generation Intel Hyperflex core fabric Hard memory network-on-chip (NoC)

4 Enabling high bandwidth data movementbetween the FPGA fabric and the network-on-chip-attached memories withoutusing FPGA resourcesWith Intel One API Software, software developers can use Intel Agilex FPGAs and SoCsas an acceleration solution. The Intel One API Software provides a unified, single-source, software-friendly heterogeneous programming environment for diversecomputing engines. The software includes a comprehensive and unified portfolio ofdeveloper tools for mapping software to the hardware that can accelerate the : The Information contained in this document is preliminary and subject to | FeedbackIntel Corporation. All rights reserved. Intel , the Intel logo, and other Intel marks are trademarks of IntelCorporation or its subsidiaries.

5 Intel warrants performance of its FPGA and semiconductor products to currentspecifications in accordance with Intel 's standard warranty, but reserves the right to make changes to anyproducts and services at any time without notice. Intel assumes no responsibility or liability arising out of theapplication or use of any Information , product, or service described herein except as expressly agreed to inwriting by Intel . Intel customers are advised to obtain the latest version of device specifications before relyingon any published Information and before placing orders for products or services.*Other names and brands may be claimed as the property of :2015 RegisteredKey Innovations in Intel Agilex FPGAs and SoCs Intel Advanced 10nm SuperFin Technology for Intel Agilex F- and I-Series andIntel 7 Technology for Intel Agilex M-Series innovative chiplet architecture allowing agile and flexible integration ofheterogeneous technologies in a System-in-Package (SiP) for highly specificapplication requirements Second Generation Intel Hyperflex core fabric delivering on average 50% higherperformance than previous generation high-performance FPGAs Device densities of up to 4 million equivalent logic elements (LEs)

6 Transceiver data rates up to 116 Gbps Configurable networking support including Hard 10/25/40/50/100/200/400 GEMAC, PCS, FEC in select tiles with IEEE 1588 support Up to 6 x 400GE or 12 x 200GE networking capability in one device High-performance crypto blocks supporting both AES and SM4 encryptionstandards Hard PCI Express* Gen4 x16 (up to 16 Gbps per lane) or Gen5 x16 (up to 32 Gbps per lane) intellectual property (IP) blocks with port bifurcation support for2x8 endpoint or 4x4 rootport Compute Express Link (CXL) Hard IP block for cache-coherent and memory-coherent interfacing to Intel Xeon Scalable Processors Hard memory controllers and PHY supporting DDR4 x72 at 3,200 Mbps per pin,DDR5 x80 at 5,600 Mbps per pin and Intel Optane persistent memory support Hard memory NoC ensures high-speed data flow between memories and logicfabric over a dedicated network of communication channels, supporting over 1terabytes per second (TBps) of aggregate memory bandwidth Next generation of Intel Optane persistent memory support for Intel Agilex M-Series In-package HBM2e supporting up to 32 GB of high bandwidth memory Hard fixed-point and IEEE 754 compliant hard floating-point variable precisiondigital signal processing (DSP)

7 Blocks providing up to 40 TFLOPS of FP16 orBFLOAT16 compute performance Over 25K of 18x19 multipliers, or over 50K of 9x9 multipliers in a single device Multi-level on-chip memory hierarchy with over 389 Mb of embedded RAM in thelargest device, made up of 640b MLABs, 20 Kb M20K blocks, and 18 Mb eSRAM memory blocks Quad-core 64-bit Arm Cortex-A53 embedded processors running up to GHz inSoC devices Programmable clock tree synthesis for flexible, low power, low skew clocking Fractional synthesis and ultra-low jitter LC tank based transmit phase locked loops(PLLs) Rectangular Packaging and Hex Pattern Ball Array to support morefunctionality/area while simplifying BOM list1. Intel Agilex FPGA and SoC Device Overview683458 | Agilex FPGAs and SoCs Device OverviewSend Feedback4 Dedicated Secure Device Manager (SDM) that: Manages Boot Process, Encryption, Authentication, and all Keys Manages Tamper Sensors, and Scripted Device Erasure Provides Secure Boot Support for Private Key Root Trust on FPGA, Public Keyonly on FPGA, and Physically Unclonable Function (PUF)-Based Keys Provides Platform Attestation Comprehensive set of Advanced power saving features that deliver up to 40%lower power compared to previous generation high-performance FPGAs Non-destructive register state readback and writeback, to support ASIC prototyping and other applications1.

8 Intel Agilex FPGA and SoC Device Overview683458 | FeedbackIntel Agilex FPGAs and SoCs Device Intel Agilex FPGA and SoC Family Intel Agilex F-Series SoC FPGAsIntel Agilex F-Series SoC FPGAs are optimized for a wide range of applications thatrequire an optimal balance of power and performance, with the power efficiency ofIntel s industry-leading 10-nm SuperFin Technology. These devices deliver on averagea 50% increase in core fabric performance compared to the previous generation ofIntel FPGAs and contain up to million LEs and 287 Mb of on-chip RAM. They alsofeature general purpose transceivers, PCIe Gen4 x16, and 3200 Mbps DDR4 externalmemory interface performance. The transceivers are capable of up to 32 Gbps (NRZ)and 58 Gbps (PAM4).

9 The SoC devices contain an embedded quad-core 64-bit ArmCortex-A53 hard processor Intel Agilex I-Series SoC FPGAsIntel Agilex I-Series SoC FPGAs contain up to 4 million LEs and support over 4 Tbps oftransceiver bandwidth, with the power efficiency of Intel s industry-leading 10-nmSuperFin Technology. The Intel Agilex I-Series SoC FPGAs contain transceivers that arecapable of up to 116 Gbps (PAM4) and configurable networking support up to 6 x400G in a single device, including hard Ethernet MAC, PCS, FEC for up to 400GE. Theyalso feature PCIe Gen5 x16 with the data rate of 32 Gbps and the industry's firstCompute Express Link (CXL) implementation in an FPGA. The Intel Agilex I-Seriesinclude an embedded quad-core 64-bit Arm Cortex-A53 hard processor system.

10 TheIntel Agilex I-Series include Hard Processor Block (HPS) in all devices except the AGI035 and AGI 040 Intel Agilex M-Series SoC FPGAsIntel Agilex M-Series SoC FPGAs offer processor and memory interfaces for the mostcompute-intensive, high-memory bandwidth applications, with the power efficiency ofIntel s industry-leading Intel 7 Technology. The Intel Agilex M-Series SoC FPGA scontain up to million LEs, 370 Mb of on-chip RAM, DSP support up to 37 TFLOPs,and memory bandwidth up to 820 Gbps with HBM2e. Also included are PCIe Gen5 x16with the data rate of 32 Gbps, Compute Express Link (CXL), next generation of theIntel Optane Persistent Memory support, DDR4, LPDDR5, and DDR5 external memoryinterface performance.


Related search queries