Example: biology

SEMI International Standards: Compilation of Terms

SEMI International Standards: Compilation of Terms (Updated 1218) Contents Abbreviations and Acronyms 2 Definitions 37 Symbols 318 Compilation of Terms (Updated 1218) SEMI 2 Table 1 Abbreviations and Acronyms Term Meaning Standard(s)

CMC critical micelle concentration SEMI F110 CMD command SEMI E144 CMOS complementary metal oxide semiconductor SEMI MS2, MS4, M59 CMP chemical mechanical polishing SEMI F107 CMP chemical mechanical planarization SEMI E79, F98, MS4 CMS Carrier Management Standard SEMI E98 CNC condensation nucleus counter SEMI C97, E66, F43, F70

Tags:

  F110

Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Other abuse

Transcription of SEMI International Standards: Compilation of Terms

1 SEMI International Standards: Compilation of Terms (Updated 1218) Contents Abbreviations and Acronyms 2 Definitions 37 Symbols 318 Compilation of Terms (Updated 1218) SEMI 2 Table 1 Abbreviations and Acronyms Term Meaning Standard(s)

2 % percent full scale SEMI E77, SEMI E80 -> indicates a mapping of an argument to its contents or its meaning. SEMI P39 2D two-dimensional, 2-dim. SEMI D59, E159, M80 3D three-dimensional, 3-dim. SEMI D59 3 DMAS tris(dimethylamino) silane SEMI C81 3DS-IC three dimensional stacked integrated circuit SEMI 3D3, G97 in micro inch (= 10-6 inch) SEMI F19 m micrometer (= 10-6 meter) SEMI F19 A absorbance SEMI C1 A actuator (a CDM class definition) SEMI A measured value SEMI E56 A elongation at rupture SEMI PV18, PV19 Aa average measured value (units of flow)

3 SEMI E56 Aafs average measured value at full scale set point (units of flow) SEMI E56 AA active area of the device SEMI E35 AAS atomic absorption spectroscopy SEMI M59 AAS/GFAAS atomic absorption spectroscopy/graphite furnace atomic absorption spectroscopy SEMI F48 AC alternating current SEMI E135, E149, E176 ACAS anti-counterfeiting authentication system SEMI T20 ACID atomicity consistency isolation durability SEMI E96 ACL access control list SEMI E147 ACR average picture level contrast ratio SEMI D64 AD accuracy of the DUT (%) SEMI E56 ADC analog to digital converter SEMI PV10 addn.

4 Addition SEMI C1 ADF accuracy of the flow standard (%) SEMI E56 AE active element (a CDM class definition) SEMI AE analysis engine SEMI E133 AED atomic emission detector SEMI MF1982

5 AED automated external defibrillator SEMI S21 AES auger electron spectroscopy SEMI F19, F72 AEV additional exhaust ventilation SEMI S18 AFM atomic force microscope SEMI E163, M59 AFM atomic force microscopy SEMI C78 AGT automated guided transport SEMI E82, E87, E109, AGV automated guided vehicle SEMI E87, E109, G92, G95, S17 AGV automatic guided vehicle (cart) SEMI E98, E101 AHE automated handling equipment SEMI E43 AIAG Automotive Industry Action Group SEMI E89 AIM association for automatic identification and mobility SEMI AISI American Iron and Steel Institute SEMI C92 Compilation of Terms (Updated 1218) SEMI 3 Term Meaning Standard(s)

6 AIST National Institute of Advanced Industrial Science and Technology SEMI f110 AIT autoignition temperature SEMI S3 alc. alcohol(ic) SEMI C1 ALD atomic layer deposition SEMI F57 AM acoustic microscopy SEMI 3D4 AMHS automated material handling system SEMI D43, D44, E81, E82, E85, E87, E88, E92, E98, E109, E153, E156, E168, E171, F107, S17, S26 amp.

7 Ampere(s) SEMI C1 AMSAA Army Materials Systems Analysis Activity SEMI E10 amt. amount SEMI C1 Amu atomic mass unit SEMI F48 A/N alphanumeric. SEMI M59 ANOVA analysis of variance SEMI E89 ANSI American National Standards Institute, the American member of ISO.

8 SEMI M59 ANSI American National Standards Institute SEMI E43, E78, E129, E163, AOI automatic optical inspection SEMI 3D14 AOI angle of incidence SEMI PV40, PV42, PV51 AOV air-operated valves SEMI F22 APC advanced process control SEMI E81, E98, E133, E151, E174 APCFI advanced process control framework initiative SEMI E81 APD 2-amino-2-hydroxymethyl-1,3-propanediol SEMI C89 API applications programming interface SEMI E54, E81 API application process identifier SEMI APIMS atmospheric pressure ionization mass spectroscopy SEMI C91 APIMS atmospheric pressure ionization mass spectrometer SEMI F27, F33, F67, F68, F80 APIMS atmospheric pressure ionization mass spectrometry SEMI F30, F58 APL average picture level SEMI D64, D71, D75, D76 Approx.

9 Approximate(ly) SEMI C1 APDU application protocol data unit SEMI , , aq. aqueous SEMI C1 AQL acceptance quality level SEMI PV63 Ar argon SEMI E49 AR anti-reflective SEMI PV47 ARAMS Automated Reliability, Availability, and Maintainability Standard SEMI E10, E58.

10 E79, E98, M59 AREP application reference endpoint SEMI , ARHS automated reticle handling system SEMI E109 ARLS angular resolved light scatter SEMI PV15 As arsenic, an n-type dopant in silicon. SEMI M59 AS accuracy of set point (%) SEMI E56 ASB authentication service body SEMI T20, , T22 Compilation of Terms (Updated 1218) SEMI 4 Term Meaning Standard(s)


Related search queries