Simulating Verilog RTL using Synopsys VCS