Example: confidence

Floyd - Digital Fundamentals 9e - Jenko.eu

DIGITALFUNDAMENTALSN inthEditionThomasl. FloydPearsonEducationInternationalIf youpurchasedthisbookwithintheUnitedState sor Canadayoushouldbeawarethatit hasbeenwrongfullyimportedwithouttheappro valof thePublisheror :KateLinsnerProductionEditor:RexDavidson DesignCoordinator:DianeErnsbergerEditori alAssistant:LaraDimmickCoverDesigner:Jas onMooreCoverart:GettyOneProductionManage r:MattOttenwellerMarketingManager:BenLeo nardIllustrations:JaneLopezThisbookwasse tin TimesRomanbyCarlisleCommunications, , , a registeredtrademarkof andothernamesof Alteraproducts,productfeatures,andservic esaretrademarksand/orservicemarksofAlter aCorporationin a registeredtrademarkof Xilinx, 2006,2003,2000,1997,1994,1990,1986,1982, 1977byPearsonEducation,Inc.,UpperSaddleR iver, theUnitedStatesof protectedbyCopyrightandpermissionshouldb eobtainedfromthepublisherpriorto anyprohibitedreproduction,storagein a retrievalsystem,or transmissionin anyformor byanymeans,electronic,mechanical,photoco pying,recording,or (s), a trademarkof PearsonEducation, "is a registeredtrademarkof is a registeredtrademarkof PearsonEducation, , ,UpperSaddleRiver, , :0-13-197255-3 PrefaceWelcometo DigitalFundamentals, strongfoundationin thecorefunda-mentals

The Digital System Application is a ... Experiments in Digital Fundamentals, a laboratory manual by David ... and worked-out lab results for the lab manual

Tags:

  Manual, Fundamentals, Digital, Lab manual, Floyd, Floyd digital fundamentals 9e

Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Other abuse

Transcription of Floyd - Digital Fundamentals 9e - Jenko.eu

1 DIGITALFUNDAMENTALSN inthEditionThomasl. FloydPearsonEducationInternationalIf youpurchasedthisbookwithintheUnitedState sor Canadayoushouldbeawarethatit hasbeenwrongfullyimportedwithouttheappro valof thePublisheror :KateLinsnerProductionEditor:RexDavidson DesignCoordinator:DianeErnsbergerEditori alAssistant:LaraDimmickCoverDesigner:Jas onMooreCoverart:GettyOneProductionManage r:MattOttenwellerMarketingManager:BenLeo nardIllustrations:JaneLopezThisbookwasse tin TimesRomanbyCarlisleCommunications, , , a registeredtrademarkof andothernamesof Alteraproducts,productfeatures,andservic esaretrademarksand/orservicemarksofAlter aCorporationin a registeredtrademarkof Xilinx, 2006,2003,2000,1997,1994,1990,1986,1982, 1977byPearsonEducation,Inc.,UpperSaddleR iver, theUnitedStatesof protectedbyCopyrightandpermissionshouldb eobtainedfromthepublisherpriorto anyprohibitedreproduction,storagein a retrievalsystem,or transmissionin anyformor byanymeans,electronic,mechanical,photoco pying,recording,or (s), a trademarkof PearsonEducation, "is a registeredtrademarkof is a registeredtrademarkof PearsonEducation, , ,UpperSaddleRiver.

2 0-13-197255-3 PrefaceWelcometo DigitalFundamentals, strongfoundationin thecorefunda-mentalsof digitaltechnologyis vitalto anyonepursuinga careerin thisexciting, carefullyorganizedto includeup-to-datecoverageof topicsthatcanbecoveredin theirentirety,usedin a condensedformat,or omittedaltogether, thistextarecoveredin thesameclear,straightforward,andwell-ill ustratedformatthathasbeenso successfulin thepreviouseditionsof a accommodatea varietyof ,someof thedesign-orientedor ,whilesomemaynothavetimeto includetopicssuchas computers,microprocessors,or ,in somecoursestheremaybenoneedto gointothedetailsof "inside-the-chip" lightlycoveredwithoutaffectingthecoverag eof backgroundin transistorcircuitsis nota prerequi-siteforthistextbookalthoughcove rageof integratedcircuittechnology(inside-the-c hipcircuits)is includedin a "floatingchapter,"whichis a color-codedtableof contentsto indicatea varietyof modularorganiza-tionthatallowsinclusiono r omissionof varioustopicswithoutimpactingtheothertop icsthatarecoveredin growin impor-tance,anentirechapter(Chapter11)is devotedtothetopic,includingPALs,GALs,CPL Ds,andFPGAs.

3 Genericin-troductionto programmablelogicsoftwareis providedandboundaryscanlogicis ThisEditionIiTheHammingerrordetectingand correctingcode"jCarrylook-aheadaddersA briefintroductionto VHDLE xpandedandimprovedcoverageof testinstrumentsAnexpandedandreorganizedc overageof programmablelogicIIiImprovedtroubleshoot ingcoverageillNewapproachto DigitalSystemApplicationsFeaturesFull-co lorformat!!iMarginnotesprovideinformatio nin a !lKeytermsarelistedin , definedat theendof thechapter,as wellas at theendof thebookin thecomprehensiveglossaryalongwithothergl ossarytermsthatareindicatedbyblackboldfa cein PREFACEC hapter14 is designedas a "floatingchapter"to provideoptionalcoverageof ICtechnology(inside-the-chipcircuitry)at anypointin eachchapteropenerIntroductionandobjectiv esat thebeginningof eachsectionwithina chapterReviewquestionsandexercisesat theendof eachsectionin a chapterA RelatedProblemin eachworkedexampleComputerNotesinterspers edthroughoutto provideinterestinginformationaboutcomput ertechnologyas it relatesto thetextcoverageHands-OnTipsinterspersedt hroughoutto provideusefulandpracticalinformationTheD igitalSystemApplicationis a featureat theendof manychaptersthatprovidesinterestingandpr acticalapplicationsof logicfundamentals.

4 Chaptersummariesat theendof eachchapterMultiple-choiceself-testat theendof eachchapterExtensivesectionalizedproblem setsat theendof eachchapterincludebasic,troubleshooting, systemapplication, testinstruments,includingtheoscilloscope ,logicanalyzer,functiongenerator,andDMM, providesan introductionto introducesdigitalsignalprocessing, programmablelogicareintroducedbeginningi n providesa coverageof PALs,GALs,CPLD sandFPGAsas wellas agenericcoverageof thetext,identifiedbythespecialiconshownh ere,arerenderedin Multisim"2001andMultisim"7, (alsoavailableontheCompanionWebsiteat )areprovidedat noextracostto theconsumerandareforusebyanyonewhochoose sto widelyregardedas ,successfuluseof thistextbookis notdependentuponuseof additionto boundaryscan,troubleshootingcoverageincl udesmethodsfortestingprogrammablelogic,s uchas traditional,bed-of-nails, includeABEL programming,an introductionis providedontheCompanionWebsiteat vAccompanyingStudentResourcesExperiments in DigitalFundamentals,a theInstructor' thetext:Circuitfilesin MultisimforusewithMultisimsoftwareTexasI nstrumentsdigitaldevicesdatasheetsInstru ctorResourcesPowerl'oint" (OnCD-ROMandonline.)

5 CompanionWebsite.( ). postyoursyllabusonlinewithourSyllabusMan agerP',Thisis agreatsolutionforclassestaughtonline,tha tareself-paced,or in ' chapterproblems,solutionsto DigitalSystemApplications,a summaryof Multisimsimulationresults, (Printandonline.) Thisis an electronicversionof theTestItemFile, ,instructorsneedto ,andthenclickRegisterTodayforan confirminge-mailincludingan ,goto thewebsiteandlogonforfullinstructionsond ownloadingthematerialsyouwishto two-pagespread,as shownin listof thesectionsin thechapteranda listof thechapter,a listof specificdevicesintroducedin thechapter(eachnewdeviceis indicatedbyanIClogoat thepointwhereit is intro-duced),a briefDigitalSystemApplicationpreview,a listof keyterms,anda thesectionsin a chapterbeginswitha briefintroductionthatin-cludesa shownin reviewconsistingof questionsor exercisesthatemphasizethemainconceptspre sentedin shownin theSectionReviewsareat theendof PREFACEIIII mplemcnt~b~,icbin;"ydecoder'"U,eBCO-to-7 -,egmcntdecodersin dj,pl~y'y'lcm,Explaintheme~ningofp"rity7 '!

6 XX4Z74XX4774XX8574XX1J874XX13974X)(1477' lXX14874XX15174XX15474XX15774XX2807<lXXZ83 FUNCTIONSOFCOMBINATIONALLOGIC Convertfrombinary!"Graycode,andGraycodetobioMybyulinglogicdevice,App)ymu)tipl"x"flindat>,election,multfpl"~eddi,play,,logic(unct iongene<~ ,imple~ommuni<:~b'on,>y>,,,m,U,,,decode"a,d"multiplcxers6-1 BasleAdders6-2 ParallelBinaryAdders6-3 RippleCarryvenuslook-AheadCarryAdden6-4 Comparators6-5 Decoders6-6 Encoders6-7 CedeConverters6-8 Multiplexerl(O<'ltaSelecton)6-9 Demultiplexers6-10 ParityGenerators! :I:IDigital5y!temApplic<ltionUseparityge neratonaodcbeckentodetectbitemmin Digital ')'$tems'133::tl:tI!llI@ilD"l'i1! ""miill:[,,__IIIIIII_Implementa i i <:ationillustratesconceptsfromthischilpt eranddea'swithoneportionofatraffic'ighto ootroJ'}' ,7,and8 fOCUI00variOUIpartsofthetrafficlightcont rolsyster.]

7 8alicalfy,this'}'ltemcontrolsthetrafficl ightattheintersectionofabU'}' }'ltemincludesa combinationallogicsectiontowhichthetopiC Iinthischapterapply,atimingcircuitlectio ntowhichChapter7 applies,andasequentiallogicsect,ontowhic hChapter8 appliesDistinguishbetweenhalf-addenandfu ll-addenU, 'f Explainthediffe,encelbetweenripplecarry" ndlook-,he,dcarrypo",lleladdersFull-adde rPriorityencoder.\'J,CascadingRipplecarr y"look-ahe<>dcarryDecoderMultiplexer(MUX)Dernultipte xer(OEMUX)handlethecompNisonofb'gernumbe rsParitybitGlltch'~''''''",,""",",O''''' ''H5tudya,dsforth"chapterareava, workedexampleshelpto il-lustrateandclarifybasicconceptsor Re-latedProblemthatreinforcesor expandsontheexamplebyrequiringthestudent to workthrougha problemsimilarto typicalworkedexamplewitha RelatedProb-lemis shownin troubleshootingsectionthatrelatesto thetopicscoveredin thechapterandthatemphasizestroubleshooti ngtechniquesandtheuseof portionof a typicaltroubleshootingsectionis theendof manychapters,thisfeaturepresentsa practicalapplicationof theconceptscoveredin "real-world"systemin whichanalysis,troubleshooting,anddesigne lementsareimplementedusingprocedurescove redin singlechapterandothersextendovertwoor follows:Tabletcountingandcontrolsystem:C hapter1 Digitaldisplay.

8 Chapters4 viii--I " C T!O N 3 _ '--~-------~ ]ilOntfleinputofaninverter,""*,,,ti,theo utput7I, :~.:~'"ill <lctNeHIGHpUl,,,,(HIGH levelwhenasserted,lOWlevelwhennot)il required",",pt"'.onaninverterinput.(a.)Drawtheappropliatelogi'lY'"ool,ulingthedi'tinctiveshapeillldthenegationindicator,fortheinverterin (b)~',~:;theoutputwhen"pmitivl"-goingpulleis appliedtotheinputofan3-2 JHEANDG~T~Introductoryparagraphanda YOllshouldhenblclo Identif)':mANDgatebyit,dislinc! Producea timingdiagmmforanANDgatewithanyspecifiedinputwaveforms Writethelogicexpre,sionfor:lr\ANDgatewithanynumberofin[JUl~ DiscussenmplesofANDgate"ppliemionsThelerrng(lle(b)ROCl:1II~ul",ootli",," (&)qualif}iD~')'mOOlardiogic>ymbol,(orlheANDgateshowingtwoinpul>( )Operationof l-inputANDgate,outputXis HIGHonl}'whentnputsaand/) areHJGII;Xis LOWwheneilherAorBis LOW, temorethanlwcinput<.

9 ""5-3(a)showsanAND-OR-In\'"''circuitandt hedevelop-mentoftheresoutputexpression_T heANSI standardrectangularoutlinesymbolisshowni n part(b).IngeneraLanAND-OR_lnvertcin:uitc a"haveanynumberof!\_~Dgatescachwitha"Yll umberofinpuL~;m-."vc_&"The0]X'ral;ollor th",\j'JD OR-lnv"rtcircuitinFigure5-3is statedasfollmvs'Fora 4-itlpulAND-OR-Inwrtlogiccin:uil,theoutp utX is LOW(0)if bolhinpuIAlIndinpulBareHIGH(I)orbothinpu tCandinpUlJ)"reHIGH(1).A truthtablecanbedevelopedfromtheANO ORtruthtablein Table5-1bysimplychang-ingalllsloOSamlall OSlolsinthcoutpUlcolumniEXAMPLE5-2 Sclllt':onTheAND OR-Invcrtcircuitin Figure5-4hasinputsfromthesensor,Onlank>A ,B,andC ,checkstheIc'-el,intanksA" , ,checkstanks8 , . 1 'IDgatewillhavea HIGHThisHIGHOUIPUlis problemrelatedto OR-InwrtlogicinFigurc5-4andshowthattheou tputis HIGH(I)whenanytwoofth~inpul~ areLOW(0).

10 Viii PREFACELOGICGATES3-9 TROUBLESHOOTINGT roubleshootingiSlh~ faultorfailureina ,youmustunderstandhowtilecircuitorsystem is determinewhetherornOIJ certamtogicgateis faulty,)" }'oushoultlbcablcwInternalFailuresofre !orplOp",r' " "InputAninternalopenis theresultofanopencomponcmonthechipora breakinthetinywireconnectingtheICcbiplQl llepacka.'o!e ;:\~ntsasignalonthalinpUlfrQmgetlin~totb eoUlputofthegatt'_asilluslT<lledinFigun:3-61(01)fortheca,eofa 2 ,effectively:ISa HIGHICl" ~showninFigure3-67(b).(~)Pin13 inplJ'andpinII o"'P'"0"(biPioI2inp",i'''flCn(a!.. PI'IlO~ljOnofpul'""" 'ell;lI"ll1wittpm<luoeoopul>c>01lth<oou'pu'FIGUREP-4 Troubl", ,allopeo'.-.,:>utTheeffectOf30opeoioput00 NAND giltehouble,l,ootinganOpenInputTroublesh ooting1I1istypeoffailureis easilya<:<:OI11"plishedwithanoscilloscop eandfUI\ ~ FJ!)


Related search queries