PDF4PRO ⚡AMP

Modern search engine that looking for books and documents around the web

Example: marketing

Diffraction Based Overlay Metrology for Double Patterning ...

22. Diffraction Based Overlay Metrology for Double Patterning technologies Prasad Dasari1, Jie Li1, Jiangtao Hu1, Nigel Smith1 and Oleg Kritsun2. 1 Nanometrics 2 Globalfoundries USA. 1. Introduction 193nm optical immersion lithography is approaching its minimum practical single-exposure limit of 80nm pitch [1]. The semiconductor industry has adopted Double Patterning technology (DPT) as an attractive solution for the low k1 regime until extreme ultraviolet (EUV) lithography becomes commercialized. DPT also brings additional demands of increased critical dimension uniformity (CDU) and decreased Overlay errors. The International Technology Roadmap for Semiconductors (ITRS) [2] target for Overlay control at the 32nm DRAM node in single patterned lithography steps is 6nm.

22 Diffraction Based Overlay Metrology for Double Patterning Technologies Prasad Dasari 1, Jie Li 1, Jiangtao Hu 1, Nigel Smith 1 and Oleg Kritsun 2 1Nanometrics 2Globalfoundries USA 1. Introduction 193nm optical immersion lithography is approa ching its minimum practical single-exposure

Tags:

  Based, Technologies, Overlay, Double, Metrology, Diffraction, Patterning, Diffraction based overlay metrology for, Diffraction based overlay metrology for double patterning technologies

Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Spam in document Broken preview Other abuse

Transcription of Diffraction Based Overlay Metrology for Double Patterning ...

Related search queries