Example: biology

3. VHDL 문법기초 한동일 - dasan.sejong.ac.kr

vhdl vhdl 3. vhdl vhdl . vhdl vhdl . vhdl . vhdl .. (literal) .. (type) . (type) .. 2/73 . vhdl vhdl (reserved word) (identifier) (identifier) (literal) () (comment) (operator) (type)3/73 vhdl vhdl (graphic code) (g p) ISO/IEC 8859-1: 1987, Information Processing - 8-bit Single-Byte Coded Graphic Character Sets -- Part 1: Latin Alphabet No.

vhdl 프로그래밍 3. vhdl 문법기초 한동일 학습목표 vhdl언어를구성하는문자세트를배운다. vhdl언어를구성하는문장구성요소를배운다.

Tags:

  Vhdl

Information

Domain:

Source:

Link to this page:

Please notify us if you found a problem with this document:

Other abuse

Transcription of 3. VHDL 문법기초 한동일 - dasan.sejong.ac.kr

1 vhdl vhdl 3. vhdl vhdl . vhdl vhdl . vhdl . vhdl .. (literal) .. (type) . (type) .. 2/73 . vhdl vhdl (reserved word) (identifier) (identifier) (literal) () (comment) (operator) (type)3/73 vhdl vhdl (graphic code) (g p) ISO/IEC 8859-1: 1987, Information Processing - 8-bit Single-Byte Coded Graphic Character Sets -- Part 1: Latin Alphabet No.

2 1. (format effector) (horizontal tab)() (vertical tab) (carriage return) (line feed) (line feed) (form feed) -- /* */ (2008 )4/73() .191 191 5/73 6/73 vhdl vhdl vhdl 7 vhdl vhdl 8 vhdl (separotor) (delimiter) (reserved word) (reserved word) (identifier) (literal) (literal) (comment)9/73.

3 (t) (separator) (space), (format effector), (End of line) 10/73. (d li it ) (delimiter) (single delimiter) (compound delimiter) &'(AmpersandApostrophe, tickLftth , minus signDot, point, periodSl h di idlid>`|Greater-than signGrave accentVti lli (b )()*+Left parenthesisRight parenthesisAsterisk, multiplyPlus sign/:;<Slash, divide, solidusColonSemicolonLessthan sign|[]?)

4 Vertical line(bar)Left square bracketRight square bracketQuestion mark11/73+,Plus signComma<=Less-than signEquals sign?@Question markCommencial at. (d li it ) (delimiter) =>:=>=ArrowVariable assignmentGreater than or equal**/=<=Double star, exponentiationInequality, not equalLess than or equal, signal assignment<>?=?<?BoxMatching equalityMatching less than M t hitth???/=?<=?Condition conversionMatching inequalityMatching less than or equalMthit thl?> <<Matching greater than Double less than?

5 >=>>Matching greater than or equalDouble greater than12/73 (dd) (reserved word) 115 (2008 ) 13/73 : 10762008 : 1076-2008 absaccessafteraliasallandarchitecturearr ayassertassumeassume_guaranteeattributeb eginblockbodybufferbuscasecomponentconfi gurationdf ldidlconstantcontextcoverdefaultdisconne ctdowntoelseelsifendentityexitfairnessfi leforforcefunctiongenerategenericgroupgu ardedifgggpgimpureininertialinoutislabel librarylinkageliteralloopmapmodnandnewll fnextnornotnullofonopenorothersoutpackag eparameterportpostponedprocedureprocessp ropertyprotectedpurerangerecordpppp

6 Yppgregisterrejectreleaseremreportrestri ct_guaranteerestrictreturnrolrorselectse quenceseverityisignalsharedslasllsrasrls trongsubtypethentotransporttypeunaffecte dunitsuntilusevariablevmodevpropvunitwai t14/73ppwhenwhilewithxnorxor (id tifi ) (identifier) , ( ) ) (basic identifier) (basic identifier) (extended identifier)15/73. BNF BNF identifier ::= basic_identifier | extended_identifierbasic_identifier ::= letter { [ underline ] letter_or_digit}{__g}letter_or_digit ::= letter | digit__g|gletter ::= upper_case_letter | lower_case_letterextended_identifier ::= \ graphic_character { graphic_character } \16/73 COUNT, A, DCT, FrameCount X9, ADD1, Pentium4, P4C1 RSTFCtADD A B RST_n, Frame_Count, ADD_A_B 9X 9X : _carry_out.

7 ADD A B : ADD__A_B : DCT_ : \ELSE\\200$\\ADD A B\\DCT\\74LS32\17/73 \ELSE\, \200$\, \ADD__A_B\, \DCT_\, \74LS32\. (lit l) (literal) constantHTOTAL_WIDTH : integer := 512;constantMAX_BIN : string := B"1111_1111_1111";constantMAX_HEX : BIT_VECTOR := X"FFF";typeVOLTAGE_RANGE is range ; 512 B"1111_1111_1111" X"FFF" -15 018/73 (lit l) (literal) decimal literalabstract literalbased literalreal literalliteralcharacter literalstring literalinteger literalstring literalbit string literal19/73g (bt tlit l) (abstract literal) (real literal) (integer literal) (decimal literal) (b d li l) (based literal)

8 0 20/73 . BNF BNF decimal_literal ::= integer [ . integer ] [ exponent ]integer ::= digit { [ underline ] digit }exponent ::= E [ + ] integer | E integer 15, 0, 1E6, 123_456_789 , , +6, , constantHALF PERIOD : time :=50E3ns ;21/73constant HALF_PERIOD : time := ;. BNF BNF based_literal ::= base # based_integer [.]

9 Based_integer ] # [ exponent ]base ::= integerbased_integer ::= extended_digit { [ underline ] extended_digit }extended_digit ::= digit | letterexponent ::= E [ + ] integer | E - integer 2 ~ 16 2 2#1111_1110#, 2# #E11 16 22/73 16#FE#, 16# #E+2. (h t lit l) BNF (character literal) BNF character_literal ::= 'graphic_character' 191 a A @ 1 a, A, @, , , 1 a ab 23/73 a, ab.

10 (ti lit l) BNF (string literal) BNF string_literal ::= "{graphic_character}" & a , , -- 1 ifld/li tt 24/73 . (bit t i lit l) BNF (bit string literal) BNF bit_string_literal ::= [ integer ] base_specifier "[bit_value]"bit_value ::= graphic_character { [ underline ] graphic_character }base_specifier ::= B | O | X | UB | UO | UX | SB | SO | SX | D 2, 8, 16 0~9, A~F, U , X , 0 , 1 , Z , W , L , H , - ( ) Integer : base specifier B : , O : 8 , X : 16 25/73 U : std_ulogic, S.


Related search queries